What are the classifications of semiconductor equipment

Release date:2022-06-24

Semiconductor equipment generally refers to the production equipment required for the production of various semiconductor products, and belongs to the key supporting link of the semiconductor industry chain. Semiconductor equipment is the technological leader of the semiconductor industry. Chip design, wafer manufacturing, packaging and testing, etc. need to be designed and manufactured within the scope of equipment technology. The technological progress of equipment, in turn, promotes the development of the semiconductor industry.

Taking the integrated circuit with the highest technical difficulty, the largest added value and the most complex process in the semiconductor industry chain as an example, the equipment used in the field of integrated circuits can usually be divided into front-end process equipment (wafer manufacturing) and back-end process equipment (packaging and testing). ) in two categories.

Among them, the seven major steps in the front-end wafer fabrication are oxidation/diffusion, photolithography, etching, cleaning, ion implantation, film growth, and polishing. The semiconductor equipment used in each step is as follows:

1. Oxidation / Diffusion / Annealing

Oxidation is a process in which a silicon wafer is placed in an oxidant atmosphere such as oxygen or water vapor for high temperature heat treatment, and a chemical reaction occurs on the surface of the silicon wafer to form an oxide film; diffusion refers to the use of thermal diffusion under high temperature conditions. Doping into the silicon substrate makes it have a specific concentration distribution, thereby changing the electrical properties of the silicon material; annealing refers to the process of heating the silicon wafer after ion implantation and repairing the lattice defects caused by ion implantation.

Diffusion furnace

Diffusion furnaces are used for diffusion, oxidation, annealing, alloying and sintering processes in industries such as large-scale integrated circuits, discrete devices, power electronics, optoelectronic devices and optical fibers. The main purpose of the diffusion process is to dope semiconductor wafers at high temperature, that is, to diffuse the elements phosphorus and boron into the silicon wafer, thereby changing and controlling the type, concentration and distribution of impurities in the semiconductor in order to establish regions of different electrical properties .

Oxidation furnace

It is an indispensable part of the semiconductor processing process to provide the required oxidizing atmosphere for the oxidation treatment of semiconductor materials, and realize the oxidation treatment expected by the semiconductor design.

Annealing furnace

A process equipment used in the manufacture of semiconductor devices that involves heating a plurality of semiconductor wafers to affect their electrical properties. Heat treatments are designed for different effects. The wafer can be heated to activate dopants, convert thin films to thin films or convert thin films to wafer substrate interfaces, densify deposited thin films, change the state of growing thin films, repair implanted damage, move dopants or transfer dopants The agent is transferred from one film to another or from the film into the wafer substrate.

2. Photolithography

The main process in the production of planar transistors and integrated circuits is to open the mask (such as silicon dioxide) on the surface of the semiconductor wafer for the localized diffusion of impurities. The general lithography process needs to go through such processes as cleaning and drying the surface of the silicon wafer, priming, spin-coating photoresist, soft baking, alignment exposure, post-baking, developing, hard baking, etching, and testing.

Glue developing equipment

The glue coating and developing equipment uses the robot to realize the transfer and processing of the wafer between the various systems, and achieves a perfect cooperation with the photolithography machine to complete the photoresist coating, curing, and development of the wafer. As the input of the photolithography machine, that is, the photoresist coating before exposure and the output, that is, the development of the pattern after exposure, the performance of the coating and developing machine not only directly affects the formation of fine exposure, but also the pattern quality and error control of the development process. It also has a profound impact on the pattern transfer results in the subsequent etching and ion implantation processes.

Lithography equipment

Generally speaking, it is a lithography machine (Mask Aligner), also known as a mask alignment exposure machine, an exposure system, a lithography system, etc., which is the core equipment for manufacturing chips. It uses a technique similar to photo printing to print the fine patterns on the reticle onto the silicon wafer through the exposure of light. The lithography machine is the core equipment for the production of large-scale integrated circuits, which requires the mastery of profound optical and electronic industry technologies, which are mastered by only a few manufacturers in the world, and the lithography machine is expensive, usually between 30 million and 500 million US dollars.

Alignment detection equipment

The alignment detection equipment is mainly used for the alignment of the mask plate and the wafer in the lithography process, the alignment of the chip and the substrate during chip bonding, the alignment of the components and the PCB substrate in the surface assembly process, and is also used in various processing. process, such as wafer testing, wafer dicing, various laser processing processes, etc. Precision detection technology is the basis of alignment detection, and detection methods mainly include optical detection method and photoelectric detection method.

3. Etching

Etching is an important step in semiconductor manufacturing process and micro-nano manufacturing process. Etching is understood in a narrow sense as lithographic etching. First, the photoresist is subjected to lithography exposure processing through lithography, and then the parts to be removed are etched and processed by other methods. Etching is the process of selectively removing unwanted material from the surface of a silicon wafer by chemical or physical methods, with the basic goal of correctly replicating the mask pattern on the glue-coated silicon wafer. With the development of micro-manufacturing technology, in a broad sense, etching has become a general term for stripping and removing materials by solution, reactive ions or other mechanical methods, and has become a common name for micro-fabrication.

Etching is divided according to the material to be etched, and is mainly divided into silicon etching, dielectric etching and metal etching. The etching machines used for different etching materials are quite different. The plasma generation methods of the etcher for dry etching include CCP (capacitive coupling) and ICP (inductive coupling). CCP technology has high energy but poor adjustability, suitable for etching hard dielectric materials (including metals); ICP technology has low energy but strong controllability, suitable for etching monocrystalline silicon, polycrystalline silicon and other materials with low hardness or thinness .

4. Cleaning

In the manufacturing process of integrated circuits, with the continuous reduction of feature size, semiconductors are becoming more and more sensitive to impurity content, and it is difficult to avoid the introduction of some pollutants such as particles, organics, metals and oxides. The key purpose of cleaning is to reduce the impact of impurities on the chip yield. In actual production, it is not only necessary to improve the efficiency of a single cleaning, but also to perform frequent cleaning before and after almost all processes, and the cleaning steps account for about 33% of the overall steps.

Monolithic cleaning equipment

Single-wafer cleaning instead of batch cleaning is the mainstream of advanced processes. Single-wafer cleaning usually uses single-wafer cleaning equipment, and uses spray or acoustic waves combined with chemical reagents to clean single wafers. Single-wafer cleaning firstly provides better process control throughout the manufacturing cycle, i.e. improved single-wafer and wafer-to-wafer uniformity, which increases yield; secondly, larger wafer sizes and tighter processes The design is more sensitive to impurities, so the impact of cross-contamination in batch cleaning will be greater, which will endanger the yield of the entire batch of wafers, which will lead to high-cost chip rework expenses; in addition, the wafer edge cleaning effect is better, The advantages of adaptability for multi-variety and small batch production are also one of the advantages of single-wafer cleaning.

Tank cleaning equipment

Batch cleaning is usually a tank-type automatic cleaning machine, which uses a robotic arm to sequentially clean the flower baskets containing wafers through a tank containing different chemical reagents for single-step or step-by-step cleaning. Since batch cleaning is a method of cleaning multiple wafers at the same time by soaking and other methods in a processing chamber. This method may have problems such as cross-contamination, uniformity and controllability of cleaning, and compatibility of subsequent processes. When the 45nm process cycle arrives, it can no longer meet the new cleaning requirements, and single-wafer cleaning begins to gradually replace batch cleaning.


  • Return to the top

  • +86-769-26622766-816

  • Scan the code to open mobile website

  • Scan the code by WeChat to follow us